test_lib_fifo: define all referenced FSM states.

Broken in commit a1c58633.
This commit is contained in:
whitequark 2020-02-06 18:10:15 +00:00
parent a1c58633e6
commit 9301e31b69

View file

@ -192,6 +192,8 @@ class FIFOContractSpec(Elaboratable):
fifo.w_en.eq(1)
]
m.next = "DONE"
with m.State("DONE"):
pass
with m.FSM(domain=self.r_domain) as read_fsm:
read_1 = Signal(fifo.width)
@ -209,6 +211,8 @@ class FIFOContractSpec(Elaboratable):
]
with m.If((read_1 == entry_1) & (read_2 == entry_2)):
m.next = "DONE"
with m.State("DONE"):
pass
with m.If(Initial()):
m.d.comb += Assume(write_fsm.ongoing("WRITE-1"))