2019-01-16 10:19:46 -07:00
|
|
|
from .tools import *
|
2019-06-04 02:18:50 -06:00
|
|
|
from ..hdl import *
|
2019-08-19 14:23:24 -06:00
|
|
|
from ..asserts import *
|
2019-01-16 10:19:46 -07:00
|
|
|
from ..back.pysim import *
|
|
|
|
from ..lib.fifo import *
|
|
|
|
|
|
|
|
|
|
|
|
class FIFOSmokeTestCase(FHDLTestCase):
|
2019-01-21 09:02:46 -07:00
|
|
|
def assertSyncFIFOWorks(self, fifo, xfrm=lambda x: x):
|
2019-01-25 19:31:12 -07:00
|
|
|
with Simulator(xfrm(Fragment.get(fifo, None)), vcd_file=open("test.vcd", "w")) as sim:
|
2019-01-16 10:19:46 -07:00
|
|
|
sim.add_clock(1e-6)
|
|
|
|
def process():
|
|
|
|
yield from fifo.write(1)
|
|
|
|
yield from fifo.write(2)
|
2019-09-12 13:51:01 -06:00
|
|
|
while not (yield fifo.r_rdy):
|
2019-01-21 09:02:46 -07:00
|
|
|
yield
|
|
|
|
if not fifo.fwft:
|
2019-09-12 13:51:01 -06:00
|
|
|
yield fifo.r_en.eq(1)
|
2019-01-16 10:19:46 -07:00
|
|
|
yield
|
|
|
|
self.assertEqual((yield from fifo.read()), 1)
|
|
|
|
self.assertEqual((yield from fifo.read()), 2)
|
|
|
|
sim.add_sync_process(process)
|
|
|
|
sim.run()
|
|
|
|
|
2019-01-21 09:02:46 -07:00
|
|
|
def assertAsyncFIFOWorks(self, fifo):
|
|
|
|
self.assertSyncFIFOWorks(fifo, xfrm=DomainRenamer({"read": "sync", "write": "sync"}))
|
|
|
|
|
2019-01-16 10:19:46 -07:00
|
|
|
def test_sync_fwft(self):
|
2019-01-21 09:02:46 -07:00
|
|
|
self.assertSyncFIFOWorks(SyncFIFO(width=8, depth=4, fwft=True))
|
2019-01-16 10:19:46 -07:00
|
|
|
|
|
|
|
def test_sync_not_fwft(self):
|
2019-01-21 09:02:46 -07:00
|
|
|
self.assertSyncFIFOWorks(SyncFIFO(width=8, depth=4, fwft=False))
|
2019-01-16 10:19:46 -07:00
|
|
|
|
|
|
|
def test_sync_buffered(self):
|
2019-01-21 09:02:46 -07:00
|
|
|
self.assertSyncFIFOWorks(SyncFIFO(width=8, depth=4, fwft=True))
|
|
|
|
|
|
|
|
def test_async(self):
|
|
|
|
self.assertAsyncFIFOWorks(AsyncFIFO(width=8, depth=4))
|
|
|
|
|
|
|
|
def test_async_buffered(self):
|
|
|
|
self.assertAsyncFIFOWorks(AsyncFIFOBuffered(width=8, depth=3))
|
2019-01-16 22:26:54 -07:00
|
|
|
|
|
|
|
|
2019-04-21 02:52:57 -06:00
|
|
|
class FIFOModel(Elaboratable, FIFOInterface):
|
2019-01-19 01:57:18 -07:00
|
|
|
"""
|
|
|
|
Non-synthesizable first-in first-out queue, implemented naively as a chain of registers.
|
|
|
|
"""
|
2019-09-12 13:51:01 -06:00
|
|
|
def __init__(self, width, depth, *, fwft, r_domain, w_domain):
|
2019-09-12 13:36:45 -06:00
|
|
|
super().__init__(width, depth, fwft=fwft)
|
2019-01-19 01:57:18 -07:00
|
|
|
|
2019-09-12 13:51:01 -06:00
|
|
|
self.r_domain = r_domain
|
|
|
|
self.w_domain = w_domain
|
2019-01-19 01:57:18 -07:00
|
|
|
|
2019-09-12 13:14:56 -06:00
|
|
|
self.level = Signal.range(self.depth + 1)
|
2019-01-19 01:57:18 -07:00
|
|
|
|
2019-01-25 19:31:12 -07:00
|
|
|
def elaborate(self, platform):
|
2019-01-19 01:57:18 -07:00
|
|
|
m = Module()
|
|
|
|
|
2019-01-19 02:27:13 -07:00
|
|
|
storage = Memory(self.width, self.depth)
|
2019-09-12 13:51:01 -06:00
|
|
|
w_port = m.submodules.w_port = storage.write_port(domain=self.w_domain)
|
|
|
|
r_port = m.submodules.r_port = storage.read_port (domain="comb")
|
2019-01-19 02:27:13 -07:00
|
|
|
|
2019-09-08 06:19:13 -06:00
|
|
|
produce = Signal.range(self.depth)
|
|
|
|
consume = Signal.range(self.depth)
|
2019-01-19 01:57:18 -07:00
|
|
|
|
2019-09-12 13:51:01 -06:00
|
|
|
m.d.comb += self.r_rdy.eq(self.level > 0)
|
|
|
|
m.d.comb += r_port.addr.eq((consume + 1) % self.depth)
|
2019-01-19 02:27:13 -07:00
|
|
|
if self.fwft:
|
2019-09-12 13:51:01 -06:00
|
|
|
m.d.comb += self.r_data.eq(r_port.data)
|
|
|
|
with m.If(self.r_en & self.r_rdy):
|
2019-01-19 02:27:13 -07:00
|
|
|
if not self.fwft:
|
2019-09-12 13:51:01 -06:00
|
|
|
m.d[self.r_domain] += self.r_data.eq(r_port.data)
|
|
|
|
m.d[self.r_domain] += consume.eq(r_port.addr)
|
2019-01-19 01:57:18 -07:00
|
|
|
|
2019-09-12 13:51:01 -06:00
|
|
|
m.d.comb += self.w_rdy.eq(self.level < self.depth)
|
|
|
|
m.d.comb += w_port.data.eq(self.w_data)
|
|
|
|
with m.If(self.w_en & self.w_rdy):
|
|
|
|
m.d.comb += w_port.addr.eq((produce + 1) % self.depth)
|
|
|
|
m.d.comb += w_port.en.eq(1)
|
|
|
|
m.d[self.w_domain] += produce.eq(w_port.addr)
|
2019-01-19 01:57:18 -07:00
|
|
|
|
2019-09-12 13:51:01 -06:00
|
|
|
with m.If(ResetSignal(self.r_domain) | ResetSignal(self.w_domain)):
|
2019-01-21 09:02:46 -07:00
|
|
|
m.d.sync += self.level.eq(0)
|
|
|
|
with m.Else():
|
|
|
|
m.d.sync += self.level.eq(self.level
|
2019-09-12 13:51:01 -06:00
|
|
|
+ (self.w_rdy & self.w_en)
|
|
|
|
- (self.r_rdy & self.r_en))
|
2019-01-21 09:02:46 -07:00
|
|
|
|
2019-09-12 13:51:01 -06:00
|
|
|
m.d.comb += Assert(ResetSignal(self.r_domain) == ResetSignal(self.w_domain))
|
2019-01-19 01:57:18 -07:00
|
|
|
|
2019-01-25 19:31:12 -07:00
|
|
|
return m
|
2019-01-19 01:57:18 -07:00
|
|
|
|
|
|
|
|
2019-04-21 02:52:57 -06:00
|
|
|
class FIFOModelEquivalenceSpec(Elaboratable):
|
2019-01-19 01:57:18 -07:00
|
|
|
"""
|
|
|
|
The first-in first-out queue model equivalence specification: for any inputs and control
|
|
|
|
signals, the behavior of the implementation under test exactly matches the ideal model,
|
|
|
|
except for behavior not defined by the model.
|
|
|
|
"""
|
2019-09-12 13:51:01 -06:00
|
|
|
def __init__(self, fifo, r_domain, w_domain):
|
2019-01-19 01:57:18 -07:00
|
|
|
self.fifo = fifo
|
|
|
|
|
2019-09-12 13:51:01 -06:00
|
|
|
self.r_domain = r_domain
|
|
|
|
self.w_domain = w_domain
|
2019-01-21 09:02:46 -07:00
|
|
|
|
2019-01-25 19:31:12 -07:00
|
|
|
def elaborate(self, platform):
|
2019-01-19 01:57:18 -07:00
|
|
|
m = Module()
|
|
|
|
m.submodules.dut = dut = self.fifo
|
2019-09-12 13:51:01 -06:00
|
|
|
m.submodules.gold = gold = FIFOModel(dut.width, dut.depth, fwft=dut.fwft,
|
|
|
|
r_domain=self.r_domain, w_domain=self.w_domain)
|
2019-01-19 01:57:18 -07:00
|
|
|
|
|
|
|
m.d.comb += [
|
2019-09-12 13:51:01 -06:00
|
|
|
gold.r_en.eq(dut.r_rdy & dut.r_en),
|
|
|
|
gold.w_en.eq(dut.w_en),
|
|
|
|
gold.w_data.eq(dut.w_data),
|
2019-01-19 01:57:18 -07:00
|
|
|
]
|
|
|
|
|
2019-09-12 13:51:01 -06:00
|
|
|
m.d.comb += Assert(dut.r_rdy.implies(gold.r_rdy))
|
|
|
|
m.d.comb += Assert(dut.w_rdy.implies(gold.w_rdy))
|
2019-01-21 09:02:46 -07:00
|
|
|
if hasattr(dut, "level"):
|
|
|
|
m.d.comb += Assert(dut.level == gold.level)
|
|
|
|
|
2019-01-19 01:57:18 -07:00
|
|
|
if dut.fwft:
|
2019-09-12 13:51:01 -06:00
|
|
|
m.d.comb += Assert(dut.r_rdy
|
|
|
|
.implies(dut.r_data == gold.r_data))
|
2019-01-19 01:57:18 -07:00
|
|
|
else:
|
2019-09-12 13:51:01 -06:00
|
|
|
m.d.comb += Assert((Past(dut.r_rdy, domain=self.r_domain) &
|
|
|
|
Past(dut.r_en, domain=self.r_domain))
|
|
|
|
.implies(dut.r_data == gold.r_data))
|
2019-01-19 01:57:18 -07:00
|
|
|
|
2019-01-25 19:31:12 -07:00
|
|
|
return m
|
2019-01-19 01:57:18 -07:00
|
|
|
|
|
|
|
|
2019-04-21 02:52:57 -06:00
|
|
|
class FIFOContractSpec(Elaboratable):
|
2019-01-19 01:57:18 -07:00
|
|
|
"""
|
|
|
|
The first-in first-out queue contract specification: if two elements are written to the queue
|
|
|
|
consecutively, they must be read out consecutively at some later point, no matter all other
|
|
|
|
circumstances, with the exception of reset.
|
|
|
|
"""
|
2019-09-12 13:51:01 -06:00
|
|
|
def __init__(self, fifo, r_domain, w_domain, bound):
|
2019-01-21 09:02:46 -07:00
|
|
|
self.fifo = fifo
|
2019-09-12 13:51:01 -06:00
|
|
|
self.r_domain = r_domain
|
|
|
|
self.w_domain = w_domain
|
2019-01-21 09:02:46 -07:00
|
|
|
self.bound = bound
|
2019-01-18 17:52:56 -07:00
|
|
|
|
2019-01-25 19:31:12 -07:00
|
|
|
def elaborate(self, platform):
|
2019-01-18 17:52:56 -07:00
|
|
|
m = Module()
|
|
|
|
m.submodules.dut = fifo = self.fifo
|
|
|
|
|
2019-01-21 09:02:46 -07:00
|
|
|
m.domains += ClockDomain("sync")
|
2019-01-18 17:52:56 -07:00
|
|
|
m.d.comb += ResetSignal().eq(0)
|
2019-09-12 13:51:01 -06:00
|
|
|
if self.w_domain != "sync":
|
|
|
|
m.domains += ClockDomain(self.w_domain)
|
|
|
|
m.d.comb += ResetSignal(self.w_domain).eq(0)
|
|
|
|
if self.r_domain != "sync":
|
|
|
|
m.domains += ClockDomain(self.r_domain)
|
|
|
|
m.d.comb += ResetSignal(self.r_domain).eq(0)
|
2019-01-21 09:02:46 -07:00
|
|
|
|
2019-01-18 17:52:56 -07:00
|
|
|
entry_1 = AnyConst(fifo.width)
|
|
|
|
entry_2 = AnyConst(fifo.width)
|
|
|
|
|
2019-09-12 13:51:01 -06:00
|
|
|
with m.FSM(domain=self.w_domain) as write_fsm:
|
2019-01-18 17:52:56 -07:00
|
|
|
with m.State("WRITE-1"):
|
2019-09-12 13:51:01 -06:00
|
|
|
with m.If(fifo.w_rdy):
|
2019-01-18 17:52:56 -07:00
|
|
|
m.d.comb += [
|
2019-09-12 13:51:01 -06:00
|
|
|
fifo.w_data.eq(entry_1),
|
|
|
|
fifo.w_en.eq(1)
|
2019-01-18 17:52:56 -07:00
|
|
|
]
|
|
|
|
m.next = "WRITE-2"
|
|
|
|
with m.State("WRITE-2"):
|
2019-09-12 13:51:01 -06:00
|
|
|
with m.If(fifo.w_rdy):
|
2019-01-18 17:52:56 -07:00
|
|
|
m.d.comb += [
|
2019-09-12 13:51:01 -06:00
|
|
|
fifo.w_data.eq(entry_2),
|
|
|
|
fifo.w_en.eq(1)
|
2019-01-18 17:52:56 -07:00
|
|
|
]
|
|
|
|
m.next = "DONE"
|
|
|
|
|
2019-09-12 13:51:01 -06:00
|
|
|
with m.FSM(domain=self.r_domain) as read_fsm:
|
2019-01-18 17:52:56 -07:00
|
|
|
read_1 = Signal(fifo.width)
|
|
|
|
read_2 = Signal(fifo.width)
|
|
|
|
with m.State("READ"):
|
2019-09-12 13:51:01 -06:00
|
|
|
m.d.comb += fifo.r_en.eq(1)
|
2019-01-21 09:02:46 -07:00
|
|
|
if fifo.fwft:
|
2019-09-12 13:51:01 -06:00
|
|
|
r_rdy = fifo.r_rdy
|
2019-01-21 09:02:46 -07:00
|
|
|
else:
|
2019-09-12 13:51:01 -06:00
|
|
|
r_rdy = Past(fifo.r_rdy, domain=self.r_domain)
|
|
|
|
with m.If(r_rdy):
|
2019-01-18 17:52:56 -07:00
|
|
|
m.d.sync += [
|
|
|
|
read_1.eq(read_2),
|
2019-09-12 13:51:01 -06:00
|
|
|
read_2.eq(fifo.r_data),
|
2019-01-18 17:52:56 -07:00
|
|
|
]
|
|
|
|
with m.If((read_1 == entry_1) & (read_2 == entry_2)):
|
|
|
|
m.next = "DONE"
|
|
|
|
|
2019-08-14 20:53:07 -06:00
|
|
|
with m.If(Initial()):
|
2019-01-18 17:52:56 -07:00
|
|
|
m.d.comb += Assume(write_fsm.ongoing("WRITE-1"))
|
|
|
|
m.d.comb += Assume(read_fsm.ongoing("READ"))
|
2019-08-14 20:53:07 -06:00
|
|
|
with m.If(Past(Initial(), self.bound - 1)):
|
2019-01-18 23:02:04 -07:00
|
|
|
m.d.comb += Assert(read_fsm.ongoing("DONE"))
|
2019-01-18 17:52:56 -07:00
|
|
|
|
2019-09-12 13:51:01 -06:00
|
|
|
if self.w_domain != "sync" or self.r_domain != "sync":
|
|
|
|
m.d.comb += Assume(Rose(ClockSignal(self.w_domain)) |
|
|
|
|
Rose(ClockSignal(self.r_domain)))
|
2019-01-21 09:02:46 -07:00
|
|
|
|
2019-01-25 19:31:12 -07:00
|
|
|
return m
|
2019-01-18 17:52:56 -07:00
|
|
|
|
|
|
|
|
2019-01-16 22:26:54 -07:00
|
|
|
class FIFOFormalCase(FHDLTestCase):
|
2019-01-21 09:02:46 -07:00
|
|
|
def check_sync_fifo(self, fifo):
|
2019-09-12 13:51:01 -06:00
|
|
|
self.assertFormal(FIFOModelEquivalenceSpec(fifo, r_domain="sync", w_domain="sync"),
|
2019-01-19 02:27:13 -07:00
|
|
|
mode="bmc", depth=fifo.depth + 1)
|
2019-09-12 13:51:01 -06:00
|
|
|
self.assertFormal(FIFOContractSpec(fifo, r_domain="sync", w_domain="sync",
|
2019-01-21 09:02:46 -07:00
|
|
|
bound=fifo.depth * 2 + 1),
|
2019-01-18 17:52:56 -07:00
|
|
|
mode="hybrid", depth=fifo.depth * 2 + 1)
|
2019-01-16 22:26:54 -07:00
|
|
|
|
2019-01-18 17:52:56 -07:00
|
|
|
def test_sync_fwft_pot(self):
|
2019-01-21 09:02:46 -07:00
|
|
|
self.check_sync_fifo(SyncFIFO(width=8, depth=4, fwft=True))
|
2019-01-18 17:52:56 -07:00
|
|
|
|
2019-01-16 22:26:54 -07:00
|
|
|
def test_sync_fwft_npot(self):
|
2019-01-21 09:02:46 -07:00
|
|
|
self.check_sync_fifo(SyncFIFO(width=8, depth=5, fwft=True))
|
2019-01-16 22:26:54 -07:00
|
|
|
|
|
|
|
def test_sync_not_fwft_pot(self):
|
2019-01-21 09:02:46 -07:00
|
|
|
self.check_sync_fifo(SyncFIFO(width=8, depth=4, fwft=False))
|
2019-01-16 22:26:54 -07:00
|
|
|
|
|
|
|
def test_sync_not_fwft_npot(self):
|
2019-01-21 09:02:46 -07:00
|
|
|
self.check_sync_fifo(SyncFIFO(width=8, depth=5, fwft=False))
|
2019-01-16 22:26:54 -07:00
|
|
|
|
|
|
|
def test_sync_buffered_pot(self):
|
2019-01-21 09:02:46 -07:00
|
|
|
self.check_sync_fifo(SyncFIFOBuffered(width=8, depth=4))
|
2019-01-16 22:26:54 -07:00
|
|
|
|
|
|
|
def test_sync_buffered_potp1(self):
|
2019-01-21 09:02:46 -07:00
|
|
|
self.check_sync_fifo(SyncFIFOBuffered(width=8, depth=5))
|
2019-01-16 22:26:54 -07:00
|
|
|
|
|
|
|
def test_sync_buffered_potm1(self):
|
2019-01-21 09:02:46 -07:00
|
|
|
self.check_sync_fifo(SyncFIFOBuffered(width=8, depth=3))
|
|
|
|
|
|
|
|
def check_async_fifo(self, fifo):
|
|
|
|
# TODO: properly doing model equivalence checking on this likely requires multiclock,
|
|
|
|
# which is not really documented nor is it clear how to use it.
|
2019-09-12 13:51:01 -06:00
|
|
|
# self.assertFormal(FIFOModelEquivalenceSpec(fifo, r_domain="read", w_domain="write"),
|
2019-01-21 09:02:46 -07:00
|
|
|
# mode="bmc", depth=fifo.depth * 3 + 1)
|
2019-09-12 13:51:01 -06:00
|
|
|
self.assertFormal(FIFOContractSpec(fifo, r_domain="read", w_domain="write",
|
2019-01-21 09:02:46 -07:00
|
|
|
bound=fifo.depth * 4 + 1),
|
|
|
|
mode="hybrid", depth=fifo.depth * 4 + 1)
|
|
|
|
|
|
|
|
def test_async(self):
|
|
|
|
self.check_async_fifo(AsyncFIFO(width=8, depth=4))
|
|
|
|
|
|
|
|
def test_async_buffered(self):
|
|
|
|
self.check_async_fifo(AsyncFIFOBuffered(width=8, depth=3))
|